[ Home | Projects | Publications | People | Recent Theses | Recent Presentations | Directions | Help ]


INTEGRATED MODELING: ETCHING AND POST PROCESSING OF POROUS AND CONVENTIONAL SiO2 IN FLUOROCARBON PLASMAS*

Arvind Sankaran and Mark J. Kushner
Department of Electrical & Computer Engineering
University of Illinois at Urbana-Champaign
1406 W. Green St., Urbana, IL 61801

1. Introduction

As microelectronics device feature sizes continue to shrink and wafers continue to increase in size, the delay in signal propagation has increased due to resistance in the lines and capacitance between lines (RC delay). To achieve shorter RC-delay times in integrated circuits low dielectric constant (low-k) materials are being investigated for interconnect wiring. Porous silica is one such material. The modeling of process integration of such non-traditional materials for interconnect wiring can provide insights to methods to optimize the process. To address this issue we have modeled the process integration steps of etch, clean and barrier coating for porous SiO2 using MCFPM coupled to HPEM. To this end, MCFPM has been modified to address porous two-phase materials. We have also developed and validated surface reaction mechanisms for fluorocarbon etching of conventional SiO2 and Ar/O2 etching of organic polymers. We have used these mechanisms to study etching of conventional and solid SiO2 in C2F6, CHF3 and C4F8/Ar/O2 plasmas. The etch step is followed by the stripping of the residual fluorocarbon polymer layer on SiO2 and of the photoresist. The cleaned features then receive a barrier coating by IMPVD.

2. Surface Reaction Mechanisms and Computational Model

A schematic of the reaction mechanism for etching of SiO2 in fluorocarbon plasmas is shown in Fig. 1. Etching of SiO2 proceeds through the formation of an overlying fluorocarbon polymer layer [nominally (C2F4)n)] on the SiO2. CxFy radicals are the precursors to polymer formation following low energy ion activation of surface sites.Upon delivery of activation energy to the polymer-SiO2 interface the SiO2 reacts with the fluorocarbon species in the polymer to release etch products such as COFx. In this process, the polymer is consumed. The polymer layer is also consumed by energetic ion sputtering and F atom etching. The polymer layer is the main inhibitor for the transport of species and activation energy to the SiO2 interface. As such the etch rate generally scales inversely with the polymer thickness. A very thin polymer layer also produces low etch rates due to the lack of reactants in the overlying layer. Si is less reactive with the polymer, being unable to consume the carbon, and so produces thicker films and lower etch rates.



Fig. 1: A schematic of the surface reaction mechanism for fluorocarbon etching of SiO2

The primary etching specie in oxygen plasmas is the O radical. Molecular oxygen has little effect on the etch characteristics at low temperatures. The primary etch products are CO2, CO, COFx in the case of fluorocarbons and CO2 and H2O in the case of hydrocarbons. Little etching of fluorocarbon polymers occurs in the absence of ion bombardment even with plentiful oxygen radicals. This suggests an ion-activated pathway for the etching of these materials. For example, the etching of photoresist by an oxygen ion beam is limited by the availability of oxygen radicals at low pressures and by ions at higher pressures. Generally etch rates increase with increasing oxygen mole fraction in mixtures and substrate bias. Typically oxygen radicals first adsorb on the polymer interface. The etch reaction on the surface is then initiated by the impact of energetic ions. As a result the etch mechanism has been modeled as a multi-step ion-assisted process. When the polymer is passivated by oxygen radicals, ion activation produces volatile etch products,


where P is the polymer, P* is the activated polymer complex, I is the ion, O is the etchant and COFx is the volatile gas product.

The feature profile evolution is captured in this work by using results from the MCFPM coupled to the HPEM. Modifications to the MCFPM include algorithms to depict porous two-phase materials. PS was modeled as stoichiometric SiO2 with vacuum pores. The pore radii and locations were randomly chosen and distributed in the numerical mesh used by the MCFPM with a Gaussian distribution of radii having probability
where r is the radius of the pore incorporated, r0 is the average pore radius and Dr is the standard deviation. Algorithms were developed to include the capability of creating both closed and interconnected pore networks.

3. Results

Surface reaction mechanisms, in general, are an intrinsic property of the gas phase reactant species (incident on the surface) and the surface species. Hence it must be independent of the process conditions, such as the choice of the reactor geometry or the input source gas chemistry. The process conditions influence the energies and magnitudes of the incident reactant flux. However, the reaction mechanism is unaffected by the process conditions. We have addressed this issue by applying our generalized reaction mechanism to blanket etching of SiO2 in different fluorocarbon chemistries: C2F6, CHF3, C4F8 and compared the results to experiments. The validation of the model and the reaction mechanism for etching of both porous and conventional SiO2 in CHF3 and C4F8 plasmas are shown below.


Fig. 2: Validation of the surface reaction mechanism and model for fluorocarbon etching of conventional and porous SiO2 in CHF3 plasma

Fig. 3: Validation of the surface reaction mechanism and model for fluorocarbon etching of porous SiO2 in C4F8 plasma

Etching of porous substrates in polymerizing environments are characterized by the filling of the pores by polymer. This leads to thicker effective polymer during the etching process, which slows down the etching process. This effect is pronounced for bigger pores at larger porosities. This is seen as a slower mass corrected etch rate in the above Fig. 2 and Fig. 3. Pore filling increases with a higher degree of interconnectivity in the porous networks. Pore filling is clearly obsered in the etch profiles for high aspect ratio features for three different degrees of interconnectivity shown below.


Fig. 4: High aspect ratio profiles of porous silica (average pore radius 10 nm, porosity 60%) with varying degrees of interconnectivity etched in CHF3 plasma.

Pore filling also poses problems in the subsequent cleaning of the etched vias. Porous networks with higher degrees of interconnects, larger average pores and higher porosities are harder to clean due to the complex morphology, which leads to poor ion activation due to the unfavorable view angles. Finally filling of the cleaned vias were studied using IMPVD of copper. Voids were created or initiated due to the presence of pores.

0%
60%
100%

Fig. 5: Stripping of residual fluorocarbon from high aspect ratio profiles of porous silica (average pore radius 10 nm, porosity 60%) with varying degrees of interconnectivity using an Ar/O2 plasma.

NP
4 nm
10 nm
16 nm

Fig. 6: Copper IMPVD of the cleaned vias of porous and conventional SiO2 for varying average pore radius.

Reference
1.  A. Sankaran and M. J. Kushner " Fluorocarbon Plasma Etching and Profile Evolution of Porous Low-dielectric-constant Silica ", Appl. Phys. Lett. 82, 1824 (2003).

*This work is sponsored by Semiconductor Research Corporation, National Science Foundation (CTS99-74962, CTS03-15353) and SEMATECH

Last updated: August 26, 2003.